Moore状态机和Mealy状态机的区别

举报
李锐博恩 发表于 2021/07/15 05:04:57 2021/07/15
【摘要】 直接给出结论: 根据状态机的输出是否与输入条件相关来区分Moore状态机和Mealy状态机。 Moore状态机:输出仅仅与当前状态有关; 如下实例,如三段式写法来写的一个序列检测的状态机(【 FPGA 】序列检测器的Moore状态机实现),状态机最后的输出: always @* begin if(current_state == s4) dout = 1; e...

直接给出结论:

根据状态机的输出是否与输入条件相关来区分Moore状态机和Mealy状态机。


Moore状态机:输出仅仅与当前状态有关;

如下实例,如三段式写法来写的一个序列检测的状态机(【 FPGA 】序列检测器的Moore状态机实现),状态机最后的输出:


  
  1. always @*
  2. begin
  3. if(current_state == s4) dout = 1;
  4. else dout = 0;
  5. end

由此可见,Moore状态机仅仅和当前状态有关。

也可以看状态转移图判断:


Mealy状态机:输出不仅取决于当前状态,还和输入有关;

同样,如下序列检测的例子:【 FPGA 】序列检测器的Mealy状态机实现

同样是三段式描述,最后的输出为:


  
  1. always @ *
  2. begin
  3. if(reset) dout = 1'b0;
  4. else if( (current_state == s3)&&(din == 1'b1) ) dout = 1'b1;
  5. else dout = 1'b0;
  6. end

同样,也可以通过状态转移图判断:

可见,输出不仅和当前状态和输入都有关系。

最后,Moore状态机和Mealy状态机可以相互转换。上述两个状态转移图实际上实现的是同一个功能,就是检测序列1101.

 

 

 

文章来源: reborn.blog.csdn.net,作者:李锐博恩,版权归原作者所有,如需转载,请联系作者。

原文链接:reborn.blog.csdn.net/article/details/88918615

【版权声明】本文为华为云社区用户转载文章,如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@huaweicloud.com
  • 点赞
  • 收藏
  • 关注作者

评论(0

0/1000
抱歉,系统识别当前为高风险访问,暂不支持该操作

全部回复

上滑加载中

设置昵称

在此一键设置昵称,即可参与社区互动!

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。