【FPGA】双端口RAM的设计(异步读写)

举报
李锐博恩 发表于 2021/07/15 02:49:41 2021/07/15
【摘要】 上篇写了双端口RAM设计(同步读写):https://blog.csdn.net/Reborn_Lee/article/details/90647784 关于异步读写和同步读写,在单端口RAM设计中也提到过:https://blog.csdn.net/Reborn_Lee/article/details/90646285 这里就不再叙述了,总之就是和时钟无关了。 下面...

上篇写了双端口RAM设计(同步读写):https://blog.csdn.net/Reborn_Lee/article/details/90647784

关于异步读写和同步读写,在单端口RAM设计中也提到过:https://blog.csdn.net/Reborn_Lee/article/details/90646285

这里就不再叙述了,总之就是和时钟无关了。


下面我们同样会给出Verilog设计和仿真验证。

有了同步读写的Verilog描述,异步简直易如反掌:


  
  1. `timescale 1ns / 1ps
  2. //
  3. // Create Date: 2019/05/28 22:53:20
  4. // Design Name:
  5. // Module Name: ram_dp_ar_aw
  6. //
  7. module ram_dp_ar_aw #(
  8. parameter DATA_WIDTH = 8,
  9. parameter ADDR_WIDTH = 8,
  10. parameter RAM_DEPTH = 1 << ADDR_WIDTH
  11. )(
  12. input [ADDR_WIDTH - 1 : 0] address_0 , // address_0 Input
  13. inout [DATA_WIDTH-1 : 0] data_0 , // data_0 bi-directional
  14. input cs_0 , // Chip Select
  15. input we_0 , // Write Enable/Read Enable
  16. input oe_0 , // Output Enable
  17. input [ADDR_WIDTH - 1 : 0] address_1 , // address_1 Input
  18. inout [DATA_WIDTH-1 : 0] data_1 , // data_1 bi-directional
  19. input cs_1 , // Chip Select
  20. input we_1 , // Write Enable/Read Enable
  21. input oe_1 // Output Enable
  22. );
  23. //--------------Internal variables----------------
  24. reg [DATA_WIDTH-1:0] data_0_out ;
  25. reg [DATA_WIDTH-1:0] data_1_out ;
  26. reg [DATA_WIDTH-1:0] mem [0:RAM_DEPTH-1];
  27. //initialization
  28. // synopsys_translate_off
  29. integer i;
  30. initial begin
  31. for(i=0; i < RAM_DEPTH; i = i + 1) begin
  32. mem[i] = 8'h00;
  33. end
  34. end
  35. // synopsys_translate_on
  36. //--------------Code Starts Here------------------
  37. // Memory Write Block
  38. // Write Operation : When we_0 = 1, cs_0 = 1
  39. always @ (address_0 or cs_0 or we_0 or data_0
  40. or address_1 or cs_1 or we_1 or data_1)
  41. begin : MEM_WRITE
  42. if ( cs_0 && we_0 ) begin
  43. mem[address_0] <= data_0;
  44. end
  45. else if (cs_1 && we_1) begin
  46. mem[address_1] <= data_1;
  47. end
  48. end
  49. // Tri-State Buffer control
  50. // output : When we_0 = 0, oe_0 = 1, cs_0 = 1
  51. assign data_0 = (cs_0 && oe_0 && !we_0) ? data_0_out : 8'bz;
  52. // Memory Read Block
  53. // Read Operation : When we_0 = 0, oe_0 = 1, cs_0 = 1
  54. always @ (address_0 or cs_0 or we_1 or oe_0)
  55. begin : MEM_READ_0
  56. if (cs_0 && !we_0 && oe_0) begin
  57. data_0_out <= mem[address_0];
  58. end else begin
  59. data_0_out <= 0;
  60. end
  61. end
  62. //Second Port of RAM
  63. // Tri-State Buffer control
  64. // output : When we_0 = 0, oe_0 = 1, cs_0 = 1
  65. assign data_1 = (cs_1 && oe_1 && !we_1) ? data_1_out : 8'bz;
  66. // Memory Read Block 1
  67. // Read Operation : When we_1 = 0, oe_1 = 1, cs_1 = 1
  68. always @ (address_1 or cs_1 or we_1 or oe_1)
  69. begin : MEM_READ_1
  70. if (cs_1 && !we_1 && oe_1) begin
  71. data_1_out <= mem[address_1];
  72. end else begin
  73. data_1_out <= 0;
  74. end
  75. end
  76. endmodule // End of Module ram_dp_ar_aw

仿真同步的做过了,这个会难吗?

虽然这里没用到时钟,但测试文件,我仍可以使用时钟,作为一个时间标尺吧,这样就可以直接用上篇博文的测试文件,我也懒着改了(这里说的直接用,是大体上直接用,但仍需改动例化,以及模块名字什么的,以及参数等等):

先读初始值,什么时候给地址什么时候给数据:

地址0写,地址1读:

双端口同时读:(地址与数据对齐)

最后还是给出测试文件吧:


  
  1. `timescale 1ns / 1ps
  2. module ram_dp_ar_aw_tb;
  3. reg clk ; // Clock
  4. reg [7 : 0] address_0 ; // address_0 input
  5. wire [7 : 0] data_0 ; // data_0 bi-directional
  6. reg cs_0 ; // Chip Select
  7. reg we_0 ; // Write Enable/Read Enable
  8. reg oe_0 ; // Output Enable
  9. reg [7 : 0] address_1 ; // address_1 input
  10. wire [7 : 0] data_1 ; // data_1 bi-directional
  11. reg cs_1 ; // Chip Select
  12. reg we_1 ; // Write Enable/Read Enable
  13. reg oe_1 ; // Output Enable
  14. initial begin
  15. clk = 0;
  16. forever
  17. #2 clk = ~clk;
  18. end
  19. reg [7 : 0] data_in0; //写数据时候,双向总线与data_in0连接(这样做的目的是保证总线在某一时刻读和写,二者之一有效)
  20. assign data_0 = (cs_0 && we_0 && !oe_0) ? data_in0 : 8'dz;
  21. reg [7 : 0] data_in1; //写数据时候,双向总线与data_in1连接(这样做的目的是保证总线在某一时刻读和写,二者之一有效)
  22. assign data_1 = (cs_1 && we_1 && !oe_1) ? data_in1 : 8'dz;
  23. integer i = 0;
  24. initial begin
  25. oe_0 = 0;
  26. oe_1 = 0;
  27. we_0 = 0;
  28. we_1 = 0;
  29. cs_0 = 0;
  30. cs_1 = 0;
  31. address_0 = 0;
  32. address_1 = 0;
  33. data_in0 = 0;
  34. data_in1 = 0;
  35. //先读出初识值(两套地址一起读)
  36. #4
  37. cs_0 = 1;
  38. cs_1 = 1;
  39. oe_0 = 1;
  40. oe_1 = 1;
  41. for(i = 0; i < 256; i = i + 1) begin
  42. @(negedge clk) begin
  43. address_0 = i;
  44. address_1 = i;
  45. end
  46. end
  47. //地址0写,地址1读
  48. @(negedge clk) begin
  49. we_0 = 1;
  50. we_1 = 0;
  51. oe_0 = 0;
  52. oe_1 = 1;
  53. end
  54. for(i = 0; i < 256; i = i + 1) begin
  55. @(negedge clk) begin
  56. address_0 = i;
  57. data_in0 = data_in0 + 1;
  58. address_1 = i;
  59. end
  60. end
  61. //地址0读·,地址1读
  62. @(negedge clk) begin
  63. we_0 = 0;
  64. we_1 = 0;
  65. oe_0 = 1;
  66. oe_1 = 1;
  67. end
  68. for(i = 0; i < 256; i = i + 1) begin
  69. @(negedge clk) begin
  70. address_0 = i;
  71. address_1 = i;
  72. end
  73. end
  74. //结束吧,片选结束
  75. @(negedge clk) begin
  76. cs_0 = 0;
  77. cs_1 = 0;
  78. end
  79. #100 $stop;
  80. end
  81. ram_dp_ar_aw #(
  82. .ADDR_WIDTH(8), //给参数
  83. .DATA_WIDTH(8)
  84. ) u_ram(
  85. .address_0(address_0),
  86. .data_0(data_0),
  87. .cs_0(cs_0),
  88. .we_0(we_0),
  89. .oe_0(oe_0),
  90. .address_1(address_1),
  91. .data_1(data_1),
  92. .cs_1(cs_1),
  93. .we_1(we_1),
  94. .oe_1(oe_1)
  95. );
  96. endmodule

参考链接:http://www.asic-world.com/examples/verilog/ram_dp_ar_aw.html

 

 

 

 

文章来源: reborn.blog.csdn.net,作者:李锐博恩,版权归原作者所有,如需转载,请联系作者。

原文链接:reborn.blog.csdn.net/article/details/90648811

【版权声明】本文为华为云社区用户转载文章,如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@huaweicloud.com
  • 点赞
  • 收藏
  • 关注作者

评论(0

0/1000
抱歉,系统识别当前为高风险访问,暂不支持该操作

全部回复

上滑加载中

设置昵称

在此一键设置昵称,即可参与社区互动!

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。