基于FPGA的图像自适应阈值二值化算法实现,包括tb测试文件和MATLAB辅助验证

举报
简简单单做算法 发表于 2024/01/10 23:24:51 2024/01/10
【摘要】 1.算法运行效果图预览2.算法运行软件版本Vivado2019.2 matlab2022a 3.算法理论概述       图像二值化是数字图像处理中的一种常见技术,可以将灰度图像转换为黑白二值图像,突出图像的轮廓和特征。自适应阈值二值化是一种常用的图像二值化方法,能够根据图像局部区域的灰度分布自适应地确定阈值,从而实现更好的二值化效果。       自适应阈值二值化算法的基本原理是将图像分为...

1.算法运行效果图预览

1.png

2.png

2.算法运行软件版本

Vivado2019.2

 

matlab2022a

 

3.算法理论概述

       图像二值化是数字图像处理中的一种常见技术,可以将灰度图像转换为黑白二值图像,突出图像的轮廓和特征。自适应阈值二值化是一种常用的图像二值化方法,能够根据图像局部区域的灰度分布自适应地确定阈值,从而实现更好的二值化效果。

 

      自适应阈值二值化算法的基本原理是将图像分为若干个小的子区域,每个子区域内的像素点使用一个共同的阈值进行二值化处理。这个阈值是根据子区域内像素点的灰度分布自适应计算得到的。常用的自适应阈值二值化方法包括Otsu方法和Adaptive Thresholding方法。

 

3.1Otsu方法

       Otsu方法是一种基于灰度直方图的阈值选择方法,通过优化类间方差来自动确定阈值。设图像的灰度级范围为0~255,灰度直方图表示每个灰度级的像素点数量。Otsu方法的目标是最优地选择一个阈值T,将图像分为前景和背景两部分,使得这两部分的类间方差最大。类间方差公式如下:

 

σb = w0 * w1 * (μ0 - μ1)²

 

      其中,w0w1分别是前景和背景的像素点数量所占比例,μ0和μ1分别是前景和背景的灰度平均值。Otsu方法通过求解类间方差的最大值来自动确定最优阈值T

 

3.2 Adaptive Thresholding方法

        Adaptive Thresholding方法是一种基于局部灰度分布的阈值确定方法。该方法将图像分成若干个小的子区域,每个子区域使用一个共同的阈值进行二值化处理。阈值是根据子区域内像素点的灰度分布计算得到的。具体来说,对于每个子区域,计算其灰度平均值和标准差,将灰度平均值减去一个常数(一般为1/2),得到该子区域的阈值。如果子区域内某个像素点的灰度值大于阈值,则将其置为255(白色),否则置为0(黑色)。

 

3.3FPGA实现过程

       我们这个课题主要通过4.2的方法来实现基于FPGA的图像自适应阈值二值化算法,其实现过程如下:

 

划分子区域:将图像划分为若干个小的子区域,每个子区域的大小可以自定义。可以使用一个二维数组来表示子区域,数组的每个元素表示一个像素点的位置和灰度值。

计算阈值:对于每个子区域,计算其灰度平均值,并根据公式计算出该子区域的阈值。可以使用Verilog中的相关模块来实现计算过程。

二值化处理:对于每个像素点,如果其灰度值大于阈值,则将其置为255(白色),否则置为0(黑色)。可以使用一个简单的if-else语句来实现这个过程。

输出二值化图像:将处理后的二值化图像数据输出到FPGAI/O口,以供后续显示或传输使用。

时钟信号:在整个实现过程中,需要使用一个时钟信号来同步数据传输和处理过程。可以使用FPGA的时钟源来生成相应的时钟信号。

        通过将FPGA的高速并行处理能力与自适应阈值二值化算法相结合,可以实现高性能、高效率的图像处理系统。这种实现方法可以广泛应用于数字图像处理、计算机视觉、安防监控等领域。

 

 

 

 

4.部分核心程序

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/07/28 01:51:45
// Design Name: 
// Module Name: test_image
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
 
 
module test_image;
 
reg i_clk;
reg i_rst;
reg [7:0] image_buff [0:100000];
reg [7:0] II0;
wire [7:0] o_Ifilter;
 wire [7:0] o_Ifilter2;
integer fids,jj=0,dat;
 
//D:\FPGA_Proj\FPGAtest\codepz
 
initial 
begin
	fids = $fopen("D:\\FPGA_Proj\\FPGAtest\\codepz\\data.bmp","rb");
	dat  = $fread(image_buff,fids);
	$fclose(fids);
end
 
initial 
begin
i_clk=1;
i_rst=1;
#2000;
i_rst=0;
end 
 
always #10  i_clk=~i_clk;
 
always@(posedge i_clk) 
begin
	II0<=image_buff[jj];
	jj<=jj+1;
end
 
 
tops tops_u(
.i_clk              (i_clk),
.i_rst              (i_rst),
.i_I0               (II0),
.o_Ifilter          (o_Ifilter) ,
.o_Ifilter2         (o_Ifilter2)
);
 
integer fout1;
initial begin
  fout1 = $fopen("o_Ifilter.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66614)
	$fwrite(fout1,"%d\n",o_Ifilter);
	else
	$fwrite(fout1,"%d\n",0);
end
integer fout2;
initial begin
  fout2 = $fopen("o_Ifilter2.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66614)
	$fwrite(fout2,"%d\n",o_Ifilter2);
	else
	$fwrite(fout2,"%d\n",0);
end
endmodule
【版权声明】本文为华为云社区用户原创内容,未经允许不得转载,如需转载请自行联系原作者进行授权。如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@huaweicloud.com
  • 点赞
  • 收藏
  • 关注作者

评论(0

0/1000
抱歉,系统识别当前为高风险访问,暂不支持该操作

全部回复

上滑加载中

设置昵称

在此一键设置昵称,即可参与社区互动!

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。