鸿蒙轻内核A核源码分析系列四 (1) 虚拟内存进程空间编号

举报
zhushy 发表于 2021/11/05 19:20:09 2021/11/05
【摘要】 鸿蒙轻内核A核源码分析系列四 虚拟内存进程空间编号在熟悉下OpenHarmony鸿蒙轻内核提供的虚拟内存(Virtual memory)管理模块之前,作为预备基础知识,我们先了解下虚拟内存进程空间编号,进程空间编号功能相对独立,源代码文件单独维护。涉及的头文件和C源代码文件分别为arch/arm/arm/include/los_asid.h和arch/arm/arm/src/los_asi...

鸿蒙轻内核A核源码分析系列四 虚拟内存进程空间编号

在熟悉下OpenHarmony鸿蒙轻内核提供的虚拟内存(Virtual memory)管理模块之前,作为预备基础知识,我们先了解下虚拟内存进程空间编号,进程空间编号功能相对独立,源代码文件单独维护。涉及的头文件和C源代码文件分别为arch/arm/arm/include/los_asid.harch/arm/arm/src/los_asid.c。本文先介绍OpenHarmony鸿蒙轻内核LiteOS-A的虚拟地址空间编号知识,然后详细分析进程空间编号的申请与释放操作。

1. 地址空间编号数组

虚拟内存地址空间编号取值范围为[0,255],256个编号对应8位数值。为了记录256个进程地址空间编号的使用状态需要256个比特位来维护。下述代码中位图字数BITMAP_NUM_WORDS(1UL << MMU_ARM_ASID_BITS)等于8,即地址空间编号数组的大小为8,每个位图字32位,正好对应256个比特位。

#define MMU_ARM_ASID_BITS           8
......
STATIC UINTPTR g_asidPool[BITMAP_NUM_WORDS(1UL << MMU_ARM_ASID_BITS)];

2. 函数OsAllocAsid()

函数OsAllocAsid()用于分配一个地址空间编号,输出参数UINT32 *asid记录获取的地址空间编号,获取失败时返回值为-1;获取地址空间编号成功时返回LOS_OK。⑴处语句获取g_asidPool数组元素中二进制位数值从左到右第一处为0的位数。⑵处如果获取的位数大于等于0,小于256,没有越界,说明获取地址空间编号成功。执行⑶把该bit位设置为1,标记为已使用。⑷处设置获取的地址空间编号。

status_t OsAllocAsid(UINT32 *asid)
{
    UINT32 flags;
    LOS_SpinLockSave(&g_cpuAsidLock, &flags);
⑴  UINT32 firstZeroBit = LOS_BitmapFfz(g_asidPool, 1UL << MMU_ARM_ASID_BITS);if (firstZeroBit >= 0 && firstZeroBit < (1UL << MMU_ARM_ASID_BITS)) {LOS_BitmapSetNBits(g_asidPool, firstZeroBit, 1);*asid = firstZeroBit;
        LOS_SpinUnlockRestore(&g_cpuAsidLock, flags);
        return LOS_OK;
    }

    LOS_SpinUnlockRestore(&g_cpuAsidLock, flags);
    return firstZeroBit;
}

3. 函数OsFreeAsid

函数OsFreeAsid释放地址空间编号,代码比较简单调用LOS_BitmapClrNBits()把地址编号所在的bit位清0即可。

VOID OsFreeAsid(UINT32 asid)
{
    UINT32 flags;
    LOS_SpinLockSave(&g_cpuAsidLock, &flags);
    LOS_BitmapClrNBits(g_asidPool, asid, 1);
    LOS_SpinUnlockRestore(&g_cpuAsidLock, flags);
}

总结

本文首先介绍了OpenHarmony鸿蒙轻内核LiteOS-A的虚拟地址空间编号知识,然后详细分析进程空间编号的申请与释放操作。代码中涉及的位图操作函数,比如函数LOS_BitmapFfz()LOS_BitmapClrNBits()LOS_BitmapSetNBits,请参考之前的系列文章《鸿蒙轻内核A核源码分析系列二 数据结构-位图操作》。后续也会陆续推出更多的其他系列分享文章,敬请期待,有任何问题、建议,都可以留言给我。谢谢。

【版权声明】本文为华为云社区用户原创内容,转载时必须标注文章的来源(华为云社区)、文章链接、文章作者等基本信息, 否则作者和本社区有权追究责任。如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@huaweicloud.com
  • 点赞
  • 收藏
  • 关注作者

评论(0

0/1000
抱歉,系统识别当前为高风险访问,暂不支持该操作

全部回复

上滑加载中

设置昵称

在此一键设置昵称,即可参与社区互动!

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。