HLK-B36无线WIFI模块调试笔记

举报
秦玉安 发表于 2021/10/14 11:51:36 2021/10/14
【摘要】 HLK-B36模块调试笔记HLK-B36模块调试笔记1:实验环境2:设置AT模块3:AT指令通讯3.1:查询配置信息:3.2:串口转 wifi client( 静态 ip 地址):1:实验环境硬件模块:HLK-B36单模块 软件环境:串口调试助手 参考资料:https://h.hlktech.com/Mobile/download/fdetail/120.html2:设置AT模块作为一个通讯...

HLK-B36模块调试笔记

image-20211014103838277

1:实验环境

硬件模块:HLK-B36单模块

image-20211014103912852

软件环境:串口调试助手

image-20211014104018551

参考资料:https://h.hlktech.com/Mobile/download/fdetail/120.html

2:设置AT模块

作为一个通讯模块,一般都是AT指令进行通讯,那么B36如何进入AT模块呢,首先去上面的资料网址去上下载地址。查看说明手册。image-20211014104342288

其中有串口工作状态转换说明:

image-20211014104512202

ES0是哪个引脚呢,查看芯片手册引脚图,也在说明书里面。

image-20211014104634986

硬件连接图就是

image-20211014105546498

3:AT指令通讯


打开串口调试助手,进行AT指令调试,根据说明手册的来说明。

默认发送小写字母at,会返回at,说明已经进入at模式

image-20211014114320069

3.1:查询配置信息:

 /*伪代码*/
 char *query="" //定义字符串指针
 at+netmode=?\r\n\ //查询 wifi 连接模式
 at+wifi_conf=?\r\n\ //查询模块的 ssid 和密码
 at+dhcpc=?\r\n\ //查询 dhcp
 at+net_ip=?\r\n\ //查询模块的 ip
 at+remoteip=?\r\n\ //查询远端 ip
 at+remoteport=?\r\n\ //查询端口
 at+remotepro=?\r\n\ //查询 socket 连接协议
 at+mode=?\r\n\ //查询 tcp 连接模式
 at+uart=?\r\n\ //查询串口参数
 at+uartpacklen=?\r\n\ //查询串口组帧长度
 at+uartpacktimeout=?\r\n\ //查询串口组帧时间
 at+ver=?\r\n\ //查询固件版本号

现在使用串口工具来调试下几个命令。

image-20211014112852995

3.2:串口转 wifi client( 静态 ip 地址):

设置客户端串口AT指令

 char *commands_wifi_client_static=""
 at+netmode=2\r\n\ //设置为无线网卡 sta 模式
 at+wifi_conf=HI-LINK,none,12345678\r\n\ //设置 wifi,加密方式和密码
 at+dhcpc=0\r\n\ //使用静态 ip 方式
 at+net_ip=192.168.11.254,255.255.255.0,192.168.11.1\r\n\ //设置模块的 ip
 at+remoteip=192.168.11.245\r\n\ //设置远端需要连接的 ip
 at+remoteport=8080\r\n\ //设置远端需要连接的 port
 at+remotepro=tcp\r\n\ //设置 socket 的连接方式
 at+mode=client\r\n\ //使用 client 模式去连接远端 server
 at+uart=115200,8,n,1\r\n\ //设置串口参数
 at+uartpacklen=64\r\n\ //设置组帧长度
 at+uartpacktimeout=10\r\n\ //设置组帧时间
 at+net_commit=1\r\n\ //提交参数
 at+reconn=1\r\n\"; //重启模块
 Com_send(commands_wifi_client_static); //把参数从串口发送出去

同样使用串口工具来调试下几个命令。

image-20211014113359744

至此,AT指令调试完毕,后续会对这个模块进行物联网平台调试,另外还有一个常用的WIFI模块ESP8266。

【版权声明】本文为华为云社区用户原创内容,转载时必须标注文章的来源(华为云社区)、文章链接、文章作者等基本信息, 否则作者和本社区有权追究责任。如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@huaweicloud.com
  • 点赞
  • 收藏
  • 关注作者

评论(0

0/1000
抱歉,系统识别当前为高风险访问,暂不支持该操作

全部回复

上滑加载中

设置昵称

在此一键设置昵称,即可参与社区互动!

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。