基于直方图的图像曝光量分析FPGA实现,包含tb测试文件和MATLAB辅助验证

举报
简简单单做算法 发表于 2024/05/02 15:40:33 2024/05/02
【摘要】 1.算法运行效果图预览正常图像:checkb位于f192b和f250b之间 多度曝光图像:checkb位于f192b和f250b之外,判决为曝光过度。 2.算法运行软件版本vivado2019.2 matlab2022a 3.算法理论概述参考资料如下:主要采用的方法为:  4.部分核心程序`timescale 1ns / 1ps//// Company: // Engineer: // ...

1.算法运行效果图预览

正常图像:

1.jpeg

2.jpeg

checkb位于f192bf250b之间

 

多度曝光图像:

3.jpeg

4.jpeg

checkb位于f192bf250b之外,判决为曝光过度。

 

2.算法运行软件版本

vivado2019.2

 

matlab2022a

 

3.算法理论概述

参考资料如下:

screenshot-1714635611224.png

主要采用的方法为:

6.png

 

 

4.部分核心程序

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
 
 
 
module test_image;
 
reg i_clk;
reg i_rst;
reg i_ready;
reg [7:0] Tmp[0:100000];
reg [7:0] datas;
wire[15:0]o_histb;
wire o_f192b;
wire o_f250b;
wire o_checkb;
wire[23:0]o_hist996b;
wire[23:0]o_histcumb;
integer fids,jj=0,dat;
 
//D:\FPGA_Proj\FPGAtest\code2
 
initial 
begin
	fids = $fopen("D:\\FPGA_Proj\\FPGAtest\\code2\\datab.bmp","rb");
	dat  = $fread(Tmp,fids);
	$fclose(fids);
end
 
initial 
begin
i_clk=1;
i_rst=1;
i_ready=0;
#1000;
i_ready=1;
i_rst=0;
#655350;
i_ready=0;
end 
 
always #5  i_clk=~i_clk;
 
always@(posedge i_clk) 
begin
	datas<=Tmp[jj];
	jj<=jj+1;
end
 
 
im_hist im_hist_u(
.i_clk    (i_clk),
.i_rst    (i_rst),
.i_ready  (i_ready),
.i_xin    (datas),
.o_histb   (o_histb), 
.o_f192b   (o_f192b),
.o_f250b   (o_f250b),
.o_hist996b(o_hist996b),
.o_histcumb(o_histcumb),
.o_checkb  (o_checkb)
);
 
 
endmodule
【版权声明】本文为华为云社区用户原创内容,未经允许不得转载,如需转载请自行联系原作者进行授权。如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@huaweicloud.com
  • 点赞
  • 收藏
  • 关注作者

评论(0

0/1000
抱歉,系统识别当前为高风险访问,暂不支持该操作

全部回复

上滑加载中

设置昵称

在此一键设置昵称,即可参与社区互动!

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。

*长度不超过10个汉字或20个英文字符,设置后3个月内不可修改。